Submission #4614873


Source Code Expand

var
 ch:array[0..1000,0..9] of char;
 i,j,n,ans:longint;
begin
  readln(n);
  for i:=1 to n do
    begin
      for j:=1 to 9 do
        begin
          read(ch[i,j]);
          if ch[i,j]='x' then inc(ans)
           else if (ch[i,j]='o')and(ch[i-1,j]<>'o') then inc(ans);
        end;
      readln;
    end;
  writeln(ans);
end.

Submission Info

Submission Time
Task B - 音楽ゲーム
User luogu_bot3
Language Pascal (FPC 2.6.2)
Score 100
Code Size 329 Byte
Status AC
Exec Time 0 ms
Memory 128 KB

Compile Error

/usr/bin/ld.bfd: warning: ./link.res contains output sections; did you forget -T?

Judge Result

Set Name All
Score / Max Score 100 / 100
Status
AC × 79
Set Name Test Cases
All 00_sample_01.txt, 00_sample_02.txt, 00_sample_03.txt, test_01.txt, test_02.txt, test_03.txt, test_04.txt, test_05.txt, test_06.txt, test_07.txt, test_08.txt, test_09.txt, test_10.txt, test_11.txt, test_12.txt, test_13.txt, test_14.txt, test_15.txt, test_16.txt, test_17.txt, test_18.txt, test_19.txt, test_20.txt, test_21.txt, test_22.txt, test_23.txt, test_24.txt, test_25.txt, test_26.txt, test_27.txt, test_28.txt, test_29.txt, test_30.txt, test_31.txt, test_32.txt, test_33.txt, test_34.txt, test_35.txt, test_36.txt, test_37.txt, test_38.txt, test_39.txt, test_40.txt, test_41.txt, test_42.txt, test_43.txt, test_44.txt, test_45.txt, test_46.txt, test_47.txt, test_48.txt, test_49.txt, test_50.txt, test_51.txt, test_52.txt, test_53.txt, test_54.txt, test_55.txt, test_56.txt, test_57.txt, test_58.txt, test_59.txt, test_60.txt, test_61.txt, test_62.txt, test_63.txt, test_64.txt, test_65.txt, test_66.txt, test_67.txt, test_68.txt, test_69.txt, test_70.txt, test_71.txt, test_72.txt, test_73.txt, test_74.txt, test_75.txt, test_76.txt
Case Name Status Exec Time Memory
00_sample_01.txt AC 0 ms 128 KB
00_sample_02.txt AC 0 ms 128 KB
00_sample_03.txt AC 0 ms 128 KB
test_01.txt AC 0 ms 128 KB
test_02.txt AC 0 ms 128 KB
test_03.txt AC 0 ms 128 KB
test_04.txt AC 0 ms 128 KB
test_05.txt AC 0 ms 128 KB
test_06.txt AC 0 ms 128 KB
test_07.txt AC 0 ms 128 KB
test_08.txt AC 0 ms 128 KB
test_09.txt AC 0 ms 128 KB
test_10.txt AC 0 ms 128 KB
test_11.txt AC 0 ms 128 KB
test_12.txt AC 0 ms 128 KB
test_13.txt AC 0 ms 128 KB
test_14.txt AC 0 ms 128 KB
test_15.txt AC 0 ms 128 KB
test_16.txt AC 0 ms 128 KB
test_17.txt AC 0 ms 128 KB
test_18.txt AC 0 ms 128 KB
test_19.txt AC 0 ms 128 KB
test_20.txt AC 0 ms 128 KB
test_21.txt AC 0 ms 128 KB
test_22.txt AC 0 ms 128 KB
test_23.txt AC 0 ms 128 KB
test_24.txt AC 0 ms 128 KB
test_25.txt AC 0 ms 128 KB
test_26.txt AC 0 ms 128 KB
test_27.txt AC 0 ms 128 KB
test_28.txt AC 0 ms 128 KB
test_29.txt AC 0 ms 128 KB
test_30.txt AC 0 ms 128 KB
test_31.txt AC 0 ms 128 KB
test_32.txt AC 0 ms 128 KB
test_33.txt AC 0 ms 128 KB
test_34.txt AC 0 ms 128 KB
test_35.txt AC 0 ms 128 KB
test_36.txt AC 0 ms 128 KB
test_37.txt AC 0 ms 128 KB
test_38.txt AC 0 ms 128 KB
test_39.txt AC 0 ms 128 KB
test_40.txt AC 0 ms 128 KB
test_41.txt AC 0 ms 128 KB
test_42.txt AC 0 ms 128 KB
test_43.txt AC 0 ms 128 KB
test_44.txt AC 0 ms 128 KB
test_45.txt AC 0 ms 128 KB
test_46.txt AC 0 ms 128 KB
test_47.txt AC 0 ms 128 KB
test_48.txt AC 0 ms 128 KB
test_49.txt AC 0 ms 128 KB
test_50.txt AC 0 ms 128 KB
test_51.txt AC 0 ms 128 KB
test_52.txt AC 0 ms 128 KB
test_53.txt AC 0 ms 128 KB
test_54.txt AC 0 ms 128 KB
test_55.txt AC 0 ms 128 KB
test_56.txt AC 0 ms 128 KB
test_57.txt AC 0 ms 128 KB
test_58.txt AC 0 ms 128 KB
test_59.txt AC 0 ms 128 KB
test_60.txt AC 0 ms 128 KB
test_61.txt AC 0 ms 128 KB
test_62.txt AC 0 ms 128 KB
test_63.txt AC 0 ms 128 KB
test_64.txt AC 0 ms 128 KB
test_65.txt AC 0 ms 128 KB
test_66.txt AC 0 ms 128 KB
test_67.txt AC 0 ms 128 KB
test_68.txt AC 0 ms 128 KB
test_69.txt AC 0 ms 128 KB
test_70.txt AC 0 ms 128 KB
test_71.txt AC 0 ms 128 KB
test_72.txt AC 0 ms 128 KB
test_73.txt AC 0 ms 128 KB
test_74.txt AC 0 ms 128 KB
test_75.txt AC 0 ms 128 KB
test_76.txt AC 0 ms 128 KB